Semiconductor Integrated Device & Process Lab.

Journals

Home Publications Journals

Journals

253
Interface engineering for low power and uniform resistive switching in bi-layer structural filament type ReRAM  / Daeseok Lee, Jiyong Woo, Euijun Cha, Seonghyun Kim, Wootae Lee, Sangsu Park, Hyunsang Hwang, / Microelectronic Engineering 109 , pp. 385-388 (2013.09)
252
Selector-less RRAM with non-linearity of device for cross-point array applications  / Jiyong Woo, Daeseok Lee, Godeuni Choi, Euijun Cha, Seonghyun Kim, Wootae Lee, Sangsu Park, Hyunsang Hwang, / Microelectronic Engineering 109 , pp. 360-363 (2013.09)
251
Hydrogenated IGZO thin-film transistors using high-pressure hydrogen annealing  / Se-I Oh, Godeuni Choi, Hyunsang Hwang, Wu Lu, Jae-Hyung Jang, / IEEE Transactions on Electron Devices  60 (8) , art. no. 6547707 , pp. 2537-2541 (2013.08)
250

Threshold-Switching Characteristics of a Nanothin-NbO2-layer-based Pt/NbO2/Pt stack for Use in Cross-point-type Resistive Memories / Seonghyun Kim, Jubong Park, Jiyong Woo, Chunhum Cho, Wootae Lee, Jungho Shin, Godeuni Choi, Sangsu Park, Daeseok Lee, Byoung Hun Lee, Hyunsang Hwang, / Microelectronic Engineering 107 , pp. 33-36 (2013.07) 

249

Thermally-activated device non-linearity in resistance-switching memory for cross-point array applications / Jiyong Woo, Seonghyun Kim, Wootae Lee, Daeseok Lee, Sangsu Park, Godeuni Choi, Euijun Cha, Hyunsang Hwang,  / Applied Physics Letters  102 (12) , art. no. 122115 (2013.03) 

248

Effects of high-pressure annealing on random telegraph signal noise characteristic of source follower block in CMOS image sensor / Hyuk-Min Kwon, In-Shik Han, Sung-Kyu Kwon, Jae-Hyung Jang, Ho-Young Kwak, Woon-Il Choi, Man-Lyun Ha, Ju-Il Lee, Hyun-sang Hwang, and Hi-Deok Lee / IEEE Electron Device Letters 34 (2) , art. no. 6407727 , pp. 190-192 (2013.02) 

247

Complementary Resistive Switching in Niobium Oxide-Based Resistive Memory Devices / Xinjun Liu, Sharif Md. Sadaf, Sangsu Park, Seonghyun Kim, Euijun Cha, Daeseok Lee, Gun-Young Jung, and Hyunsang Hwang / IEEE Electron Device Letters 34 (2) , art. no. 6410339 , pp. 235-237 (2013.02) 

246

Self-formed Schottky barrier induced selector-less RRAM for cross-point memory applications  / Sangsu Park, Seungjae Jung, Manzar Siddik, Minseok Jo, Jubong Park, Seonghyun Kim, Wootae Lee, Jungho Shin, Daeseok Lee, Godeuni Choi, Jiyong Woo, Euijun Cha, Byoung Hun Lee, Hyunsang Hwang / Physica Status Solidi - Rapid Research Letters 6(11), pp.454-456 (201211) 

245

Operation Voltage Control in Complementary Resistive Switches Using Heterodevice  / Daeseok Lee, Jubong Park, Seungjae Jung, Godeuni Choi, Joonmyoung Lee, Seonghyun Kim, Jiyong Woo, Manzar Siddik, Eujun Cha, and Hyunsang Hwang / IEEE Electron Device Letters  33 (4), pp.600-602 (201204) 

244
Programmable analogue circuits with multilevel memristive device / S. Park, J. Park, S. Kim, W. Lee, B.H. Lee and H. Hwang / Electronics Letters 48(22), pp.1415-1417 (201210)
243

Characterization of ZnO nanowire field effect transistors by fast hydrogen peroxide solution treatment / Taehyeon Kwon, Woojin Park , Minhyeok Choe, Jongwon Yoon, Sangsu Park, Sangchul Lee, Hyunsang Hwang, and Takhee Lee / Japanese Joural of Applied Physics 51,035001 (201203) 

242

High Current Density and Nonlinearity Combination of Selection Device Based on TaOX/TiO2/TaOX Structure for One Selector-One Resistor Arrays / Wootae Lee, Jubong Park, Seonghyun Kim, Jiyong Woo, Jungho Shin, Godeuni Choi, Sangsu Park, Daeseok Lee, Euijun Cha, Byoung Hun Lee, and Hyunsang Hwang / ACS Nano 6(9), pp.8166–8172 (201209) 

241
Improvement of resistive switching uniformity by introducing a thin NbOx interface layer / Xinjun Liu, Sharif Md. Sadaf, Seonghyun Kim, Kuyyadi P. Biju, Xun Cao, Myungwoo Son, Sakeb Hasan Choudhury, Gun-Young Jung and Hyunsang Hwang / ECS Solid State Letters 1(5), pp. Q35-Q38 (201208)
240

Defect engineering: Reduction effect of hydrogen atom impurities in HfO2-based resistive-switching memory devices  / Seonghyun Kim, Daeseok Lee, Jubong Park, Seungjae Jung, Wootae Lee, Jungho Shin, Jiyong Woo, Godeuni Choi and Hyunsang Hwang / Nanotechnology 23(32) 325702 (201208) 

239

​A study of the leakage current in TiN/HfO2/TiN capacitors / S. Cimino, A. Padovani, L. Larcher, V.V. Afanas’ev, H.J. Hwang, Y.G. Lee, M. Jurczac, D. Wouters, B.H. Lee, H. Hwang, and L. Pantisano / Microelectronic Engineering 95, pp.71-73 (201207) 

238

​In-depth Study on the Effect of Active Area Scale-down of Solution-processed TiOx / Seungjae Jung, Jaemin Kong, Tae-Wook Kim, Sunghoon Song, Kwanghee Lee, Takhee Lee, Hyunsang Hwang, and Sanghun Jeon / IEEE Electron Device Letters 33(6) 6186770, pp.869-871 (201206) 

237
Highly Uniform and Reliable Resistance Switching Properties in Bilayer WOx/NbOx RRAM Devices  / Sharif Md. Sadaf, Xinjun Liu, Myungwoo Son, Sangsu Park, Sakeb H. Choudhury, Euijun Cha, Manzar Siddik, Jungho Shin, and Hyunsang Hwang / Physica Status Solidi (A) Applications and Materials Science 209(6), pp.1179-1183 (201206)
236

MIM-type cell selector for high-density and low-power cross-point memory application / Jungho Shin, Godeuni Choi, Jiyong Woo, Jubong Park, Sangsu Park, Wootae Lee, Seonghyun Kim, Myungwoo Son, and Hyunsang Hwang / Microelectronic Engineering 93, pp.81-84 (201205)  

235
Improved Switching Variability and Stability by Activating a Single Conductive Filament / Jubong Park, Seungjae Jung, Wootae Lee, Seonghyun Kim, Jungho Shin, Daeseok Lee, Jiyong Woo, and Hyunsang Hwang / IEEE Electron Device Letters  33 (5), pp.646-648 (201205) 
234
Self-selective Characteristics of Nanoscale VOx Devices for High-density ReRAM Applications / Myungwoo Son, Xinjun Liu, Sharif Md. Sadaf, Daeseok Lee, Sangsu Park, Wootae Lee, Seonghyun Kim, Jubong Park, Jungho Shin, Seungjae Jung, Moon-Ho Ham, and Hyunsang Hwang / IEEE Electron Device Letters  33(5), pp.718-719 (201205)